Changes

Jump to navigation Jump to search
5 bytes added ,  16:17, 6 July 2007
no edit summary
Line 138: Line 138:  
           ch29 : out  STD_LOGIC_VECTOR (13 downto 0);
 
           ch29 : out  STD_LOGIC_VECTOR (13 downto 0);
 
           ch30 : out  STD_LOGIC_VECTOR (13 downto 0);
 
           ch30 : out  STD_LOGIC_VECTOR (13 downto 0);
           ch31 : out  STD_LOGIC_VECTOR (13 downto 0));
+
           ch31 : out  STD_LOGIC_VECTOR (13 downto 0)
 +
    );
 
end DAC_emulator;
 
end DAC_emulator;
 
</pre>
 
</pre>
461

edits

Navigation menu