Changes

Jump to navigation Jump to search
561 bytes added ,  00:14, 3 June 2008
m
no edit summary
Line 1: Line 1:  +
:''See also [[Programming_the_Ethernet_controller|Programming the Ethernet Controller]]'' for a survey of modules and general discussion of FPGA design approach.''
 +
 
= (010) Idler =
 
= (010) Idler =
   Line 5: Line 7:     
== Programming Details ==
 
== Programming Details ==
 +
 +
The Idler is essentially a state-conscious wrapper around an ''INTCatcher'' module instatiation to which the interrupt mask "1000" is passed (selecting the "Rx FIFO non-empty" interrupt as opposed to "Self-Initialization Complete" etc.) INTCatcher only returns a "Done" pulse when the requested interrupt was found so the change of state to "Read" (011) is set to trigger on this "Done" signal.
    
=== Ports ===
 
=== Ports ===
1,004

edits

Navigation menu