TotalTest Project Status (05/17/2010 - 20:19:20)
Project File: TotalTest.ise Implementation State: Placed and Routed
Module Name: FPGA_ctrl
  • Errors:
No Errors
Target Device: xc3s50a-4vq100
  • Warnings:
439 Warnings (0 new)
Product Version:ISE 11.3
  • Routing Results:
All Signals Completely Routed
Design Goal: Balanced
  • Timing Constraints:
All Constraints Met
Design Strategy: Xilinx Default (unlocked)
  • Final Timing Score:
0 (Setup: 0, Hold: 0) (Timing Report)
 
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Total Number Slice Registers 762 1,408 54%  
    Number used as Flip Flops 761      
    Number used as Latches 1      
Number of 4 input LUTs 1,197 1,408 85%  
Number of occupied Slices 689 704 97%  
    Number of Slices containing only related logic 689 689 100%  
    Number of Slices containing unrelated logic 0 689 0%  
Total Number of 4 input LUTs 1,237 1,408 87%  
    Number used as logic 1,077      
    Number used as a route-thru 40      
    Number used for Dual Port RAMs 120      
Number of bonded IOBs 38 68 55%  
    IOB Flip Flops 12      
    IOB Latches 1      
Number of BUFGMUXs 2 24 8%  
Number of DCMs 1 2 50%  
Number of STARTUPs 1 1 100%  
Number of STARTUP_SPARTAN3As 1 1 100%  
Number of STARTUP_SPARTAN3Es 1 1 100%  
Average Fanout of Non-Clock Nets 3.35      
 
Performance Summary [-]
Final Timing Score: 0 (Setup: 0, Hold: 0) Pinout Data: Pinout Report
Routing Results: All Signals Completely Routed Clock Data: Clock Report
Timing Constraints: All Constraints Met    
 
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentFri Nov 1 12:05:37 20130354 Warnings (0 new)2 Infos (0 new)
Translation ReportCurrentFri Nov 1 12:05:44 2013000
Map ReportCurrentFri Nov 1 12:06:05 2013073 Warnings (0 new)7 Infos (0 new)
Place and Route ReportCurrentFri Nov 1 12:06:18 2013012 Warnings (0 new)6 Infos (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentFri Nov 1 12:06:23 2013003 Infos (0 new)
Bitgen ReportOut of DateMon Oct 21 15:50:27 201307 Warnings (0 new)0
 
Secondary Reports [-]
Report NameStatusGenerated
ISIM Simulator LogOut of DateTue Oct 13 19:45:14 2009
Post-Synthesis Simulation Model ReportOut of DateThu Oct 31 14:33:54 2013
Guide Results ReportCurrentFri Nov 1 12:06:17 2013

Date Generated: 11/01/2013 - 15:01:16